1. Home >
  2. Computing

Intel Completes Assembly of World's First High-NA Lithography Machine

The company says it's now calibrating the device so it can begin cranking out wafers.
By Josh Norem
Intel and ASML
Credit: Intel

Intel has been in the news lately for being the first semiconductor fab to jump aboard the high numerical aperture (high-NA) fabrication train. The company is the first of the big fabs to buy a high-NA machine from Dutch company ASML, and Intel has now completed the first phase of its assembly at its Oregon facilities. Intel is announcing its progress in assembling the machine today, which theoretically gives it an advantage over TSMC and Samsung because neither of its rivals has made the plunge yet due to cost and other concerns.

Intel announced its progress with high-NA via a press briefing. The company has apparently been devouring the Ikea-style assembly manual for ASML's room-sized machine lately, as it posted an unboxing video in early March. Since that video, it's been working with ASML technicians on getting all the modules that comprise the device snugly into place. Intel said this process is somewhat simplified by its modular design, as some pieces are carried over from existing EUV machines that Intel has experience using.

ASML TWINSCAN EXE:5000
ASML's High-NA lithography device is modular, with several components being carried over from its existing EUV machines. Credit: Intel

Now that the machine is assembled, Intel says the next milestone will be "first light." This will occur when the lithography machine, an ASML TWINSCAN EXE:5000, has an operational light source. The mirrors are aligned well enough that light can hit a wafer, marking a crucial step in the assembly and calibration process. Intel expects the machine to be fully operational by the end of 2024. Once it's up and running, it will begin producing wafers for Intel's next-generation node, which it calls Intel 14A, in 2025. ASML's own high-NA machine at its HQ in the Netherlands achieved First Light in March, so ASML must now replicate that feat at Intel's Oregon fab.

Meanwhile, back in the land of windmills, ASML is also announcing it has achieved the world's first 10nm dense lines on its own high-NA machine, marking a landmark achievement in lithography. This also showcases the benefits of a high-NA system, as it can print finer patterns, which allows it to use fewer layers compared with low-NA systems. That has the knock-on benefit of reducing the number of issues to debug and increasing yields by reducing manufacturing times.

Intel seems to have a clear advantage by moving to high-NA before its competitors, which still use "low" numerical aperture extreme ultraviolet (EUV). Though there is a substantial upfront cost both in terms of the machine itself—reportedly around $400 million—and the amount of labor involved in getting it up and running, Intel believes it will be worth it in the long run due to the advances it will allow for next-generation processes. TSMC is reportedly hesitant to pull the trigger on High-NA until it reaches 1nm, as it doesn't think it's necessary yet. Samsung has also expressed reservations about High-NA due to its cost, according to Tom's Hardware.

Tagged In

Intel Silicon Semiconductors

More from Computing

Subscribe Today to get the latest ExtremeTech news delivered right to your inbox.
This newsletter may contain advertising, deals, or affiliate links. Subscribing to a newsletter indicates your consent to our Terms of use(Opens in a new window) and Privacy Policy. You may unsubscribe from the newsletter at any time.
Thanks for Signing Up